ASML首席技术官:明年将向客户交付首台High-NA EUV光刻机

业界
2022
09/28
11:31
IT之家
分享
评论

9 月 28 日消息,ASML 首席技术官 Martin van den Brink 日前接受 Bits & Chips 的采访时表示,目前公司正有序推行其路线图,在 EUV 之后是 High-NA EUV 技术。ASML 正在准备向客户交付首台 High-NA EUV 光刻机,大概会在明年某个时间点完成,Martin 相信这个目标会实现,尽管供应链问题仍然可能会扰乱这一计划。

IT之家了解到,NA (NumericalAperture) 被称作数值孔径,是光学镜头的一个重要指标,一般光刻机设备都会明确标注该指标的数值。在光源波长不变的情况下,NA 的大小直接决定和光刻机的实际分辨率,也等于决定了光刻机能够达到的最高的工艺节点。High-NA EUV 是下一代光刻设备,与现有的 EUV 光刻设备相比,可以雕刻出更精细的电路,其被认为是一个改变游戏规则的设备,将决定 3 纳米以下代工市场技术竞赛的赢家。

Van den Brink 说,到目前为止,开发高 High-NA 技术的最大挑战是为 EUV 光学器件构建计量工具。High-NA 镜的尺寸是其前身的两倍,需要在 20 皮米范围内保持平整。这需要在一个大到“可以容纳半个公司”的真空容器中进行验证,该容器位于蔡司公司。

High-NA EUV 光刻机会比现有的 EUV 光刻机更为耗电,从 1.5 兆瓦增加到 2 兆瓦。主要原因是因为光源,High-NA 使用了相同的光源需要额外 0.5 兆瓦,ASML 还使用水冷铜线为其供电。

至于 High-NA EUV 技术之后的技术方案,Martin 表示,ASML 正在研究降低波长,但他个人不认为 Hyper-NA 是可行的,他们正在对其进行研究,但这并不意味着它将投入生产。Martin 怀疑 High-NA 将是最后一个 NA,当前半导体光刻技术之路或已走到尽头。

High-NA EUV 系统将提供 0.55 数值孔径,与此前配备 0.33 数值孔径透镜的 EUV 系统相比,精度会有所提高,可以实现更高分辨率的图案化,以实现更小的晶体管特征。到了 Hyper-NA 系统,会高于 0.7,甚至达到 0.75,理论上是可以做到的。从技术方面来讲,也可以做到。但如果 hyper-NA 的成本像 High-NA 那样快速增长,那么它在经济上将几乎是不可行的。因此,Hyper-NA 研究项目的主要目标是提出聪明的解决方案,使该技术在成本和可制造性方面保持可控。

【来源:IT之家

THE END
广告、内容合作请点击这里 寻求合作
ASML
免责声明:本文系转载,版权归原作者所有;旨在传递信息,不代表砍柴网的观点和立场。

相关热点

作为产业链上的重要一环,ASML并不是“独行侠”,而是开放合作的行业发展理念的积极倡导者。
业界
6 月 30 日消息,据 BusinessKorea 报道,三星电子副董事长李在镕于 6 月中旬结束了对欧洲的商务访问,此行他与 ASML 公司就引进该荷兰半导体设备制造商的下一代极紫外(EUV)光刻设备进行了会谈。
业界
据彭博社报道,由于业务发展迅速,荷兰光刻机巨头ASML计划今年将在大陆地区招聘200名员工,使其员工规模从1400人扩大约14%。
业界
5 月 20 日消息,据路透社报道,ASML 正在研发一款半导体新光刻机,价值 4 亿美元,大约有双层巴士大小,而且重量超过 200 吨,将用于生产下一代芯片,芯片终端领域可覆盖手机、笔电、汽车、AI 等。
业界
Peter Wennick认为当前宏观经济环境虽然有不确定性,但基本增长动力仍在。
业界

相关推荐

1
3